TY - CONF AU - Witschen, Linus Matthias AU - Wiersema, Tobias AU - Reuter, Lucas David AU - Platzner, Marco ID - 29945 T2 - 2022 59th ACM/IEEE Design Automation Conference (DAC) TI - Search Space Characterization for Approximate Logic Synthesis ER - TY - CONF AU - Witschen, Linus Matthias AU - Wiersema, Tobias AU - Artmann, Matthias AU - Platzner, Marco ID - 29865 T2 - Design, Automation and Test in Europe (DATE) TI - MUSCAT: MUS-based Circuit Approximation Technique ER - TY - THES AU - Witschen, Linus Matthias ID - 34041 TI - Frameworks and Methodologies for Search-based Approximate Logic Synthesis ER - TY - CONF AU - Witschen, Linus Matthias AU - Wiersema, Tobias AU - Raeisi Nafchi, Masood AU - Bockhorn, Arne AU - Platzner, Marco ED - Hannig, Frank ED - Derrien, Steven ED - Diniz, Pedro ED - Chillet, Daniel ID - 21953 T2 - Proceedings of International Symposium on Applied Reconfigurable Computing (ARC'21) TI - Timing Optimization for Virtual FPGA Configurations ER - TY - JOUR AB - Approximate circuits trade-off computational accuracy against improvements in hardware area, delay, or energy consumption. IP core vendors who wish to create such circuits need to convince consumers of the resulting approximation quality. As a solution we propose proof-carrying approximate circuits: The vendor creates an approximate IP core together with a certificate that proves the approximation quality. The proof certificate is bundled with the approximate IP core and sent off to the consumer. The consumer can formally verify the approximation quality of the IP core at a fraction of the typical computational cost for formal verification. In this paper, we first make the case for proof-carrying approximate circuits and then demonstrate the feasibility of the approach by a set of synthesis experiments using an exemplary approximation framework. AU - Witschen, Linus Matthias AU - Wiersema, Tobias AU - Platzner, Marco ID - 17358 IS - 9 JF - IEEE Transactions On Very Large Scale Integration Systems KW - Approximate circuit synthesis KW - approximate computing KW - error metrics KW - formal verification KW - proof-carrying hardware SN - 1063-8210 TI - Proof-carrying Approximate Circuits VL - 28 ER - TY - GEN AB - On the circuit level, the design paradigm Approximate Computing seeks to trade off computational accuracy against a target metric, e.g., energy consumption. This trade-off is possible for many applications due to their inherent resiliency against inaccuracies. In the past, several automated approximation frameworks have been presented, which either utilize designated approximation techniques or libraries to replace approximable circuit parts with inaccurate versions. The frameworks invoke a search algorithm to iteratively explore the search space of performance degraded circuits, and validate their quality individually. In this paper, we propose to reverse this procedure. Rather than exploring the search space, we delineate the approximate parts of the search space which are guaranteed to lead to valid approximate circuits. Our methodology is supported by formal verification and independent of approximation techniques. Eventually, the user is provided with quality bounds of the individual approximable circuit parts. Consequently, our approach guarantees that any approximate circuit which implements these parts within the determined quality constraints satisfies the global quality constraints, superseding a subsequent quality verification. In our experimental results, we present the runtimes of our approach. AU - Witschen, Linus Matthias AU - Wiersema, Tobias AU - Platzner, Marco ID - 20748 T2 - Fifth Workshop on Approximate Computing (AxC 2020) TI - Search Space Characterization for AxC Synthesis ER - TY - JOUR AB - Existing approaches and tools for the generation of approximate circuits often lack generality and are restricted to certain circuit types, approximation techniques, and quality assurance methods. Moreover, only few tools are publicly available. This hinders the development and evaluation of new techniques for approximating circuits and their comparison to previous approaches. In this paper, we first analyze and classify related approaches and then present CIRCA, our flexible framework for search-based approximate circuit generation. CIRCA is developed with a focus on modularity and extensibility. We present the architecture of CIRCA with its clear separation into stages and functional blocks, report on the current prototype, and show initial experiments. AU - Witschen, Linus Matthias AU - Wiersema, Tobias AU - Ghasemzadeh Mohammadi, Hassan AU - Awais, Muhammad AU - Platzner, Marco ID - 3585 JF - Microelectronics Reliability KW - Approximate Computing KW - Framework KW - Pareto Front KW - Accuracy SN - 0026-2714 TI - CIRCA: Towards a Modular and Extensible Framework for Approximate Circuit Generation VL - 99 ER - TY - GEN AB - State-of-the-art frameworks for generating approximate circuits usually rely on information gained through circuit synthesis and/or verification to explore the search space and to find an optimal solution. Throughout the process, a large number of circuits may be subject to processing, leading to considerable runtimes. In this work, we propose a search which takes error bounds and pre-computed impact factors into account to reduce the number of invoked synthesis and verification processes. In our experimental results, we achieved speed-ups of up to 76x while area savings remain comparable to the reference search method, simulated annealing. AU - Witschen, Linus Matthias AU - Ghasemzadeh Mohammadi, Hassan AU - Artmann, Matthias AU - Platzner, Marco ID - 16853 KW - Approximate computing KW - parameter selection KW - search space exploration KW - verification KW - circuit synthesis T2 - Fourth Workshop on Approximate Computing (AxC 2019) TI - Jump Search: A Fast Technique for the Synthesis of Approximate Circuits ER - TY - CONF AB - State-of-the-art frameworks for generating approximate circuits automatically explore the search space in an iterative process - often greedily. Synthesis and verification processes are invoked in each iteration to evaluate the found solutions and to guide the search algorithm. As a result, a large number of approximate circuits is subjected to analysis - leading to long runtimes - but only a few approximate circuits might form an acceptable solution. In this paper, we present our Jump Search (JS) method which seeks to reduce the runtime of an approximation process by reducing the number of expensive synthesis and verification steps. To reduce the runtime, JS computes impact factors for each approximation candidate in the circuit to create a selection of approximate circuits without invoking synthesis or verification processes. We denote the selection as path from which JS determines the final solution. In our experimental results, JS achieved speed-ups of up to 57x while area savings remain comparable to the reference search method, Simulated Annealing. AU - Witschen, Linus Matthias AU - Ghasemzadeh Mohammadi, Hassan AU - Artmann, Matthias AU - Platzner, Marco ID - 10577 KW - Approximate computing KW - design automation KW - parameter selection KW - circuit synthesis SN - 9781450362528 T2 - Proceedings of the 2019 on Great Lakes Symposium on VLSI - GLSVLSI '19 TI - Jump Search: A Fast Technique for the Synthesis of Approximate Circuits ER - TY - JOUR AB - Advances in electromyographic (EMG) sensor technology and machine learning algorithms have led to an increased research effort into high density EMG-based pattern recognition methods for prosthesis control. With the goal set on an autonomous multi-movement prosthesis capable of performing training and classification of an amputee’s EMG signals, the focus of this paper lies in the acceleration of the embedded signal processing chain. We present two Xilinx Zynq-based architectures for accelerating two inherently different high density EMG-based control algorithms. The first hardware accelerated design achieves speed-ups of up to 4.8 over the software-only solution, allowing for a processing delay lower than the sample period of 1 ms. The second system achieved a speed-up of 5.5 over the software-only version and operates at a still satisfactory low processing delay of up to 15 ms while providing a higher reliability and robustness against electrode shift and noisy channels. AU - Boschmann, Alexander AU - Agne, Andreas AU - Thombansen, Georg AU - Witschen, Linus Matthias AU - Kraus, Florian AU - Platzner, Marco ID - 11950 JF - Journal of Parallel and Distributed Computing KW - High density electromyography KW - FPGA acceleration KW - Medical signal processing KW - Pattern recognition KW - Prosthetics SN - 0743-7315 TI - Zynq-based acceleration of robust high density myoelectric signal processing VL - 123 ER - TY - GEN AB - Existing approaches and tools for the generation of approximate circuits often lack generality and are restricted to certain circuit types, approximation techniques, and quality assurance methods. Moreover, only few tools are publicly available. This hinders the development and evaluation of new techniques for approximating circuits and their comparison to previous approaches. In this paper, we first analyze and classify related approaches and then present CIRCA, our flexible framework for search-based approximate circuit generation. CIRCA is developed with a focus on modularity and extensibility. We present the architecture of CIRCA with its clear separation into stages and functional blocks, report on the current prototype, and show initial experiments. AU - Witschen, Linus Matthias AU - Wiersema, Tobias AU - Ghasemzadeh Mohammadi, Hassan AU - Awais, Muhammad AU - Platzner, Marco ID - 3586 KW - Approximate Computing KW - Framework KW - Pareto Front KW - Accuracy T2 - Third Workshop on Approximate Computing (AxC 2018) TI - CIRCA: Towards a Modular and Extensible Framework for Approximate Circuit Generation ER - TY - GEN AU - Witschen, Linus Matthias AU - Wiersema, Tobias AU - Platzner, Marco ID - 1165 T2 - 4th Workshop On Approximate Computing (WAPCO 2018) TI - Making the Case for Proof-carrying Approximate Circuits ER - TY - GEN AU - Witschen, Linus Matthias ID - 1157 TI - A Framework for the Synthesis of Approximate Circuits ER - TY - CONF AU - Boschmann, Alexander AU - Thombansen, Georg AU - Witschen, Linus Matthias AU - Wiens, Alex AU - Platzner, Marco ID - 10630 T2 - Design, Automation and Test in Europe (DATE) TI - A Zynq-based dynamically reconfigurable high density myoelectric prosthesis controller ER - TY - CONF AU - Boschmann, Alexander AU - Agne, Andreas AU - Witschen, Linus Matthias AU - Thombansen, Georg AU - Kraus, Florian AU - Platzner, Marco ID - 15873 KW - Electromyography KW - Feature extraction KW - Delays KW - Hardware Pattern recognition KW - Prosthetics KW - High definition video SN - 9781467394062 T2 - 2015 International Conference on ReConFigurable Computing and FPGAs (ReConFig) TI - FPGA-based acceleration of high density myoelectric signal processing ER -